Intel MAX10キットでFPGAの勉強を始める~開発環境Setup編~

前回の記事でIntel MAX10実験キットを組み立てる手順はハマるポイントを解説しました。今回はPCとつないでプログラムをFPGAに書き込むための開発環境をSetupする手順を紹介します。

スポンサーリンク

1. 統合開発ソフトウェア「Quartus Prime」Lite Editionのインストール

1-1. 書籍付属のDVDからインストール

本Blog記事は「完全版 FPGA電子工作オールインワン・キット (トライアルシリーズ)」を見ながら実際のセットアップをしています。この書籍のP.105からP.108にQuartus Primeのインストール手順が記載されていますので、手順通りにインストーラーを実行します。インストールするパスが通常のProgram Filesではないのでご注意ください。おそらくパスに全角文字やスペースが含まれるとうまく動かないのではないかと思います(怖くて試していませんが)。なおDVDにはQuartus Primeの15.1が付属されています。

書籍ではP.108までのインストールでUSB Blasterのドライバーもインストールされ、FPGAの制御がすぐに始められると記載されています。(P.109の最初に)。
しかし、ここがハマりポイントで、OSのバージョンが新しい(Windows 8以上?)場合、書籍に記載された手順だけではUSB Blasterを正常に認識できません。以後の手順は次の節に記載しています。

1-2. Alteraのサイトからダウンロードしてインストール

Altera(今はIntel)のこちらのサイトから最新版のQuartus Primeをダウンロードできます。ただし、ダウンロードのためにはmyAlteraアカウント(無償)の発行が必要になります。ダウンロードサイトの上部にある「myAltera アカウントヘルプ」をクリックし、ポップアップ先からサインアップします。(図の赤丸で囲った部分)

myAltera account singup

直接ダウンロードをクリックしてもサインアップ可能ですが、この場合は英語版アカウントのサインアップになってしまいます(入力項目も多少違います)。

サインアップが正常に完了するとQuartus Primeがダウンロード可能になるので、最新バージョン(執筆時点では17.0がダウンロード可能)を選択します。ダウンロードには個別ダウンロードと一括ダウンロードがありますので、回線状況に応じて選んでダウンロードします。全部で5.6GBくらいになります。

Quartus Prime Download

ダウンロードが始まるとダウンローダーのインストールが求められ、インストールが完了すると次の図のような進捗が表示されます。

Quartus Prime Download 2

また、執筆時点でVersion 17.0 Update1もリリースされているため、ダウンロードサイトの「アップデート」タブをクリックしてダウンロードを行います。インストール手順は書籍記載のものとほぼ同じと思われます(私はDVD付属の15.1で検証したため未確認)。

注意事項としては、このアップデートは7.5GBもあり、PCのディスク容量を消費します。インストールを実施するにはさらに15GB以上の空き領域も必要なので、容量は十分に確保する必要があります。

2. Windowsのテストモード有効化設定

私はWindows Server 2016にQuartus Prime環境をセットアップしていたのですが、ここで書籍通りにUSB Blasterのドライバがインストールされず、ハマってしまいました。デバイスマネージャー上では!マークがついた状態でドライバが正常に認識されませんでした。そこで、いろいろ調べたりしていたところ、こちらの記事を見つけ、記載通りにWindowsのテストモードを有効化したところ、うまく認識できました。

手順の概要としては、Windowsでテストモードを有効化するために以下のコマンドを管理者権限で実行した後OS再起動を行います。

bcdedit /set TESTSIGNING ON

この設定を行うと、以後はデジタル署名のないドライバも(警告は出るものの)インストールが可能になります。OS再起動後に再びUSB Blasterのドライバをインストールすると正常に認識できます。
なお、Quartus Primeのインストール後には「USB Blaster 2」というデジタル署名付きのドライバもインストールされていたのですが、こちらではUSB Blasterをうまく動かすことはできませんでした。

3. LEDを光らせるサンプルプログラム(Lチカ)の実行

USB Blasterのインストールがうまくいったあとは、Quartus Prime上でHWセットアップ、サンプルプログラムのコンパイル、IOのFPGA pinとの紐づけなど設定を書籍記載の通りに実施します。P.109~P.120までを実施すると、LEDを光らせることができます。

以上でQuartus Primeのセットアップとサンプルプログラムの実行が完了しました。

スポンサーリンク

シェアする

  • このエントリーをはてなブックマークに追加

フォローする